庆云古诗词

庆云古诗词

ai研究专家谈chatgpt 人工智能chatgpt可以为我们做什么

互联资讯 0
ai研究人员,ai研究中心,ai研究领域,ai领域顶级专家

什么是ChatGPT?

CPDA数据分析师带您一起来了解一下火爆的chartgpt

ChatGPT是如何创建的

从本质上讲,ChatGPT是一个基于生成式预训练Transformer-3和3.5的大型语言模型,使用以下三种机制创建和开发:

第一步:大规模的预训练

“预训练”一词表示聊天机器人已经包含了一个文本或单词数据库,使其能够更好地理解自然语言的模式和结构。因此,给出的回答是对话式的,因为AI工具在查询的上下文中接受并适应它。

步骤2:变压器体系结构

转换器结构由多个层组成,这些层可以对用户输入中的单词和短语进行优先级排序,从而有效地处理长数据序列。之后,该模型试图更好地理解信息的上下文和含义,以便为用户提供更连贯的响应。

此外,架构中的前馈层和剩余连接使该工具能够理解复杂的语言模式,从而更好地捕获各种单词和短语。

步骤3:通过人类反馈的强化学习(RLHF)

自创建以来,该聊天机器人一直在使用通过人类反馈的强化学习(RLHF)进行自我开发和训练。Open AI聘请了人工智能培训师,他们通过承担用户和聊天机器人的角色与模型进行交互。之后,培训师将ChatGPT给出的答案的语气和准确性与人类回答进行比较,并对回答的质量进行排名,以加强类似人类的对话方法。

ChatGPT的最佳使用

ChatGPT可以在许多行业中以各种方式使用。例如,它可以在电子商务中对客户的询问生成个性化的自动回复,为电子邮件或社交媒体营销创建高质量的内容,并在电子学习中对学生进行多个主题的教育和培训。在本节中,我们将进一步阐述最佳ChatGPT用例,以帮助数据爱好者更好地理解最新的AI工具。

1. 能够理解和回应广泛的语言输入

用户无需使用谷歌或Bing之类的搜索引擎,而是可以利用ChatGPT理解和响应各种语言输入的能力,并找到问题的直接、简洁的答案。由于人工智能聊天机器人能够用不同的语调或说话方式解释复杂的话题,因此快速总结相关信息变得很简单。

2. 随着时间的推移,学习和提高的能力

ChatGPT的一个突出优点是它能够从与用户的交互中学习。当它与人交谈时,它可以适应并改善自己的反应,随着时间的推移变得更加准确和自然。这种适应能力使ChatGPT的用例多样化,使其成为未来开发更好的对话式AI系统的强大工具。

3.回答问题

许多公司都希望在他们的工作流程中实现人工智能的ChatGPT工具,以对典型的客户查询提供快速和智能的响应,并增强客户体验。通过在互联网上搜索特定用户的查询,并提供相关信息的快速摘要,人工智能聊天机器人帮助企业有效地了解和解决客户的痛点。

4. 改进的可解释性

通过人类反馈加强学习机制,随着越来越多的用户提供输入,聊天机器人的解释能力将进一步发展。因此,ChatGPT的响应质量将随着时间的推移而提高,以更好的方式满足用户的需求。反过来,这将导致用户体验的改善。

5. 谷歌搜索的替代方案

ChatGPT可以替代谷歌搜索。然而,ChatGPT是否是谷歌搜索引擎的理想替代品是有争议的,因为它取决于用户的特定需求和偏好。例如,对于那些看重对话式搜索体验而不是作为搜索结果接收网站链接的用户来说,这可能是正确的选择。

6. 使用AI编写高性能副本

在不同的营销活动中创建独特的、吸引人的、有吸引力的广告副本是一项具有挑战性的任务。ChatGPT利用人工智能能力编写高性能的文案,使数字营销人员的工作更容易。最新的人工智能工具概述了广告文案的想法和结构,以大大提高营销人员的生产力。此外,它还可以撰写引人注目的电子邮件副本,提高冷线索的转化率。

7. 教育或培训目的

ChatGPT可以很好地解释单词和短语,这令人着迷。然而,随着聊天机器人能力的发展,在未来几年变得更加完善,它可能会扰乱学习者与外界互动的方式。因此,一个突出的ChatGPT用例是在教育部门;导师将能够教授一个主题的基础知识,并使用ChatGPT为学生提供一个提问和消除疑虑的平台。

8. 创建虚拟助手

ChatGPT可用于创建虚拟助手,为企业处理日常任务,如安排约会、发送电子邮件和管理社交媒体帐户。这可能是简化工作流程、自动化重复任务的好方法,并为繁忙的专业人士节省时间,使他们可以专注于更重要的工作,如创新和研究。

作为一名专业的CPDA数据分析师, 我们来总结一下理解ChatGPT的关键要点

chatgpt是一个强大的AI工具,使用基于GPT3和GPT 3.5的大型语言模型

?它将机器学习算法应用于大量文本语料库,使用听起来与人类非常相似的单词和短语来响应用户的请求

?它是使用人工智能技术的最新进展创建的,例如变压器架构、大规模预训练和强化学习,以便与用户进行自然而多样的对话

?其适应不同环境和情况的能力使其能够应用于多个行业的各种用例,如零售、教育和营销。


2023年半导体行业专题报告 ChatGPT引领算力新时代,HPC封装成OSAT厂商必争之地

2023年半导体行业专题研讨,2022年半导体,2020年半导体,半导体2021下半年

1、应用:大算力应用如高性能服务器(HPC)和自动驾驶(ADAS)取代手机/PC成为新一轮半导体周 期驱动力,后摩尔定律时代高端封装工艺迭代成为新的发展趋势。以台积电下游应用来看,HPC的收入增速 从2020年Q3超过手机后保持持续领先,对应的营收占比在在2022年Q1首次超过手机成为台积电下游第一大应用,相比 之下封测厂商在高价值量的运算类电子占比仅为16%。我们认为随着大算力需求提升,先进封装替代先进制程成为降低 单位算力成本的最佳方案,进而拉高运算电子在封测厂商的价值量。

2、工艺:以Chiplet为代表的2.5D/3D封装形式成为大芯片标配,TSV/RDL/Fan-out等高端封装技术带 来封装环节价值占比提升。半导体价值量的增长下游从手机/PC向高算力的HPC和ADAS转移,封装工艺开始向 Chiplet为代表的2.5D/3D封装转移,从封装工艺流程来看,晶圆代工厂基于制造环节的的优势扩展至TSV工艺,封测厂参 与较多的是RDL和Fan-out等封装工艺,随着高算力芯片整体封测市场扩容,封测厂商逐步扩大2.5D和3D封测布局。

3、市场:全球晶圆代工龙头台积电打造全球2.5D/3D先进封装工艺标杆,未来几年封装市场增长主要受 益于先进封装的扩大。目前先进封装营收规模最大是晶圆代工龙头台积电,预计2022年先进封装贡献了53亿美元, 全球封测龙头日月光和安靠都推出了3D封测工艺平台,积极抢占先进封装的份额。预计2027年先进封装市场规模增至 651亿美元,2021-2027年CAGR达到9.6%,先进封装成为大算力时代封装厂商新的增长动能。

2022年海外与中国大陆的逻辑类IC封测厂商实现双位数增长

2022年全球海外前十大封测厂商营收合计达到277亿美元,yoy+9%,逻辑IC封测和测试厂商成长明显,DDIC类封测 公司受到行业周期下滑较多。 2022 年中国大陆前十大封测厂商营收合计达到765亿元人民币,yoy+14%,逻辑IC封测和专业测试厂商实现大幅增长。

2022年Q1开始HPC超越手机成为半导体第一大需求驱动力

2020年第三季度台积电HPC的收入增速首次超过手机应用后持续保持领先,营收占比也在2022年第一季度超过智 能手机,成为逻辑芯片领域最重要的成长驱动力。 2020年第三季度开始,汽车电子领域增速持续走高,在台积电所有下游应用领域中保持最高的成长速度。

HPC封装价值并未流向OSAT厂商,主要由晶圆代工厂承接

全球封测厂商排名前两位的是日月光投控与安靠,按照下游应用占比来看,手机依然是封测领域占 比最高的,营收占比高达40-50%,与晶圆代工龙头台积电的晶圆代工应用占比匹配。 计算领域日月光与安靠的营收占比均为16%,明显低于HPC领域晶圆代工环节超过40%的营收占 比,可见HPC领域的封测并未由OSAT厂商承接,而是留在台积电等聚焦先进制程代工的晶圆厂体 内。

ChatGPT引领算力新时代,HPC封装成OSAT厂商必争之地

2019年开启的5G手机浪潮推动了射频(RF)封装工艺向SiP和AiP/AOP领域发展,摄像头升级也拉 动了CIS的封装需求爆发。 随着5G手机渗透率逐步饱和,服务器(HPC)需求成为半导体行业新的驱动力,不同于智能手机追 求轻薄和微缩的追求,服务器芯片更注重算力提升,以Chiplet为代表的2.5D和3D封装工艺成为封装 需求的重要引擎。

汽车芯片封装趋势:Fan-out、SiP等先进封装需求增长

在汽车领域,先进的驾驶员辅助系统(ADAS)、电气化和虚拟驾驶舱等智能化升级,对于先进封装的需求快速增加, 尤其是ADAS对于大算力芯片使用量大幅提升,带动2.5D/3D封装需求。 汽车电子是SiP封装的重要应用场景,SIP封装为汽车客户提供一个平台,将微处理器和其他功能模块如SerDes、 PMICs、存储、MEMS传感器等整合在一起成为完整的控制系统,在ECU、ABS、方向盘控制系统、座舱娱乐系统等 各个单元得到采用。 扇出形封装(Fan-out)已经在汽车毫米波雷达中得到了应用,在77GHz毫米波雷达上的性能已被证明优于FCBGA等 其他封装形式,因为RDL能够实现低损耗布线和卓越的RF性能。

AI应用对性能的极致追求与先进封装技术的发展相辅相成

除了AI芯片架构本身的进展外,更高性能的芯片往往离不开先进封装。在AI运算中,神经网络参数(权重、偏差、超 参数和其他)需要存储在内存中,常规存储器与处理器之间的数据搬运速度慢,成为运算速度提升的瓶颈,且将数据 搬运的功耗高。目前AI芯片通过NMP(近内存处理器)和PIM(存内处理)的架构设计降低上述限制。例如NMP包括 2.5D封装逻辑芯片与HBM,3D封装逻辑芯片与堆叠的DRAM(HMC)。 异构集成突破先进制程的限制,降低单位算力成本:在上述方案中, DRAM、逻辑和其他模块来自不同的工艺节点, 并使用先进封装技术进行连接,形成异构集成。随着人工智能架构变得越来越普遍,未来AI芯片还将集成来自其他领 域(如模拟、射频和光子学)的模块,以及不同的工艺节点,满足更多的应用需求。

Chiplet成为后摩尔时代发展趋势

后摩尔时代Chiplet封装为芯片制造提供了性能与成本平衡 的最佳方案。随着半导体工艺尺寸进一步缩小,集成电路制 造面临的挑战日益增大,摩尔定律日趋放缓,单位晶体管的 成本不降反升,应用先进制程的芯片研发费用大幅增长。 Chiplet即“小芯片”是指预先制造好、具有特定功能、可 组合集成的晶片(Die)。Chiplet技术背景下,可以将大型 单片芯片划分为多个相同或者不同的小芯片,这些小芯片可 以使用相同或者不同的工艺节点制造,再通过跨芯片互联和 封装技术进行封装级别集成,以在功率、性能和成本方面找 到优化的平衡。

Chiplet为芯片制造提供了性能与成本平衡的新方案

Chiplet封装采用“小芯片”异构集成,大幅提升制造良率。理论上,如果Die尺寸足够小,Wafer的利用率可达 100%。如果考虑缺陷,随着Die的减小,良率将得到提升。因此近几年全球晶圆制造厂商积极发展先进封装工艺,并 且增加2.5D和3D封装的资本开支,在后摩尔定律时代布局Chiplet成为半导体行业发展的必然趋势。 AMD以实现性能、功耗和成本的平衡为目标,推行Chiplet设计,并提出performance/W和performance/$衡量标准。 Chiplet具有成本效应,但其造价随着核数的下降而变缓,因此可能有一个价格的均衡点来判断是否采用Chiplet技术。 AMD采用“7nm+14nm”的芯片组方案相较于采用7nm制造同样多核的芯片成本下降了50%左右。

2D-3D的先进封装依据物理结构和电气连接特征区分

如果说chiplet是一种芯片设计方法,异构集成即是一种芯片封装方法。为使异构集成的Chiplet封装实 现,需要借助到2D/2.1D/2.3D/2.5D/3D等一系列先进封装工艺。先进封装的不同层次主要依据多颗芯 片堆叠的物理结构和电气连接方式划分,例如2D封装中的芯片直接连接到基板,其他封装则以不同 形式的中介层完成互联。

2D-2.5D在XY平面上封装多颗芯片,中介层是主要差异点

2D:2D封装是指在基板的表面水平安装所有芯片和无源器件的集成方式,芯片之间的连接主要通过基板实现,少数 通过键合线直接连接。2D典型案例包括TSMC的InFO封装技术。 2.5D:2.5D封装特指采用了中介层(interposer)的集成方式,中介层多采用硅材料。芯片通常通过MicroBump和中 介层相连接,作为中介层的硅基板采用Bump与基板相连,硅基板上下表面的上下表面的电气连接通道通常由RDL与 贯穿硅基板的TSV构建。2.5D典型案例包括TSMC的CoWoS-S技术。 2.1D/2.3D:介于2D和2.5D硅转接板之间, 2.1D/2.3D之间的间隙较为模糊,主要特点是采用薄膜、有机中介层、高 密度RDL或嵌入基板的硅桥替代中介层进行互连,典型的案例包括Intel的EMIB封装技术。由于不采用硅中介层有利于 降低成本,2.1D/2.3D在一些应用中被视作2.5D封装的一种替代选择。

3D封装在Z轴上延伸物理结构,TSV可实现高密度电气互连

3D with TSV:目前3D封装通常特指芯片通过TSV直接进 行高密度互连,典型的案例即HBM。 3D without TSV:虽然多颗芯片在物理结构上呈现3D堆叠 形态,但其电气互连上均需要通过基板(除极少数通过键 合线直接连接的键合点),即先通过键合线/凸点连接到基 板,然后在基板上进行电气互连,而非TSV。在某些场景 下,此类集成也被归类为2D+集成以与3D TSV进行区分, 典型案例即TSMC的InFO_PoP。

CoWoS:适用于HPC与AI计算领域的2.5D封装技术

CoWoS为HPC和AI计算领域广泛使用的2.5D封装 技术。台积电早在2011年推出CoWoS技术,并在 2012年首先应用于Xilinx的FPGA上。此后,华为海 思、英伟达、谷歌等厂商的芯片均采用了CoWoS, 例如GP100(P100显卡核心),TPU 2.0。如今 CoWoS已成为HPC和AI计算领域广泛应用的2.5D 封装技术,绝大多数使用HBM的高性能芯片,包括 大部分创企的AI训练芯片都应用了CoWoS技术。 CoWoS可以分为为CoWoS-S、CoWoS-R和 CoWoS-L三种。 CoWoS-S基于硅中介层为先进SoC和HBM提供系统 集成;CoWoS-R更强调小芯片间的互连,利用RDL实现最 小4μm的布线; CoWoS-L则是最新的CoWoS技术,结合了CoWoS-S 和InFO两种技术的优点,使用RDL与LSI(本地硅互 连)进行互连,具有最灵活的集成性。

InFO:新型拓扑方案有望改善性能并扩大应用场景

InFO_B:InFO_PoP 的DRAM 封装是定制设计, 只能在 TSMC 制造。另一种 InFO_B 拓扑正在开发 中,以使(LPDDR) DRAM 封装能够由外部合同制 造商/OSAT提供。 InFo_L:集成LSI的InFO变体,LSI用于两个芯片之 间的通信,达到极致互连带宽和成本的折中。 InFo_oS封装体系下的新配置:一种潜在的 InFO_oS配置,逻辑芯片被I/O SerDes小芯片包 围,以支持高速/高基数网络交换机。

SoIC:相比基于微凸点的3D封装有诸多优势

基于微凸块的3D封装借助微凸点连接芯片, 在连接密度、性能等方面受限。传统3D封装 在后端工艺中借助微凸点(Pump)连接堆 叠的芯片,但微凸点的尺寸很难缩小到 10μm以下,限制了堆叠芯片的I/O针脚计数。 此外,按比例排列的微凸点增加了寄生电容、 电阻和电感,降低了其性能和功率。 台积电SoIC 3D封装技术使芯片连接紧密, 并在互联带宽和散热上表现优异。台积电 SoIC的键合技术在前端工艺完成,接合间距 更小,使芯片更紧密地连接在一起,提供超 过10K/mm2的垂直互连密度,用于超高带宽 互连。在热性能方面,台积电SoIC键合的热 阻比微凸点下降低35%。

AI服务器对GPGPU需求旺盛,拉动HBM显存需求激增

GPGPU为AI服务器主流协处理器选择,平均搭载量大。IDC 统计数据表明,中国x86服务器以双路服务器为主,占比在 80%以上。根据Omdia预测,到2026年约30%的服务器将搭 载协处理器,而GPGPU为协处理器的首选,份额在10%左 右。与单台服务器主要搭载两颗CPU的情况不同,通常一台 加速服务器搭载4颗及以上的GPGPU。 IDC数据显示,2019年中国AI加速服务器单机GPGPU搭载量 最多达到20颗,加权平均数约为8颗/台。单颗GPU配套的 HBM显存存储容量达到80GB,对应价值量约为800美元。

上下游厂商积极布局HBM,2025年市场规模近25亿美元

SK海力士是HBM开发的先行者,并在技术开发和市场份额上占据领先地位。2014年,SK海力士与AMD联合开发了 全球首款HBM产品。SK海力士的HBM3发布7个月后实现了量产,将搭载于N【【微信】】之上。SK海力士在HBM市场已获得60%-70%的市场份额。 HBM赛场集齐三大DRAM巨头,晶圆代工厂也通过升级相关封装技术参与其中。SK海力士之后,三星、美光推出了 各自的HBM产品,分别迭代至HBM3和HBM2E。晶圆代工厂商包括如台积电、格芯等也在发力HBM相关的封装技 术。

HBM在算力芯片中的应用范围持续扩大,2025年市场规模将接近25亿美元。客户方面,AMD和NVIDIA两大显卡厂商 已多次在其GPGPU产品上采用HBM,Intel发布了全球首款集成HBM的x86 CPU,Xilinx在其FPGA产品中推出了搭载 HBM的系列。随着AI技术不断扩大对高算力的需求,HBM销售量有望迎来快速增长。Omdia预计2025年HBM市场规 模将接近25亿美元,是2020年的5倍多。

先进封装市场快速成长,规模有望超越传统封装

预计2027年先进封装市场规模增至651亿美元,2021-2027年CAGR达到9.6%。根据Yole数据, 全球封装市场中,先进封装占比已由2015年的39%提升至2021年的44%。预计到2027年,先进封 装市场占比将增至53%,规模约为651亿美元,2021-2027年CAGR约为9.6%,高于传统封装市场 的3.3%和市场整体的6.3%。

先进封装内部相对高阶的封装形式将呈现更快增速

倒装稳占先进封装最大份额,2.5D /3D、嵌入式芯片和扇出成为增长最快的先进封装平台。根据Yole 数据,先进封装内部份额最大的板块为倒装(包括FCBGA、FCCSP、FC-SiP),2021年市场规模 约262.7亿美元,占比70%。从增速角度来看,相对高阶的封装形式Fan-Out、2.5D /3D、Embedded Die在智能手机、HPC、自动驾驶等领域需求的推动下,保持高于先进封装整体市场的复合增速 。

台积电先进封装营收与资本开支持续增长

台积电在先进封装上已取得了可观的收入体量,技术布局也进入关键节点,未来投入规模将持续加 码。根据Yole数据,2020-2022年,台积电在先进封装上的营收规模从36亿美元增至53亿美元,年复 合增长率为21.3%;在先进封装上的资本开支从15亿美元增至40亿美元,年复合增长率为63.3%。从 市场份额来看,2022年台积电在先进封装上的营收规模和资本支出分别位列全球第三和第二。

日月光VIPack先进封装平台包含六大核心技术

VIPac是日月光扩展设计规则并实现超高密度和性能设计的下一世代3D异质整合架构。此平台利用先 进的重布线层(RDL)制程、嵌入式整合以及2.5D/3D封装技术,协助客户在单个封装中整合多个晶片 来实现前所未有的创新应用,日月光VIPac由六大核心封装技术组成。 日月光基于高密度RDL的Fanout Package-on Package (FOPoP)、Fanout Chip-on-Substrate (FOCoS)、Fanout Chip-on-Substrate-Bridge (FOCoS-Bridge) 和Fanout System-in-Package (FOSiP) ,以及基于硅通孔(TSV) 的2.5D/3D IC和【【微信】】。除了提供可优化时脉速度、 频宽和电力传输的高度整合矽封装解决方案所需的制程能力,VIPack™平台更可缩短共同设计时 间、产品开发和上市时程。

全球OSAT龙头营收规模快速增长,资本开支相对稳定

在OSAT厂商中,日月光、安靠、长电的封装业务营收规模位列全球前三,日月光因2020年与矽品合 并,营收体量较大幅领先于安靠和长电。根据Yole数据,2020-2022年,三家公司的封装营收规模均 保持两位数以上的年复合增速,其中长电增速最快,年复合增速约为21.4%。日月光在封装上的资本 开支稳定在20亿美元左右,安靠与长电的资本开支保持上升。由于Intel、TSMC与三星在封装领域的 大规模投入,OSAT厂商的资本开支排名相比营收规模排名有所下滑,日月光、安靠、长电分别位列 全球第三、第五、第六。

中芯国际:晶圆代工行业反转在即,HPC/ADAS拉动新周期

IC设计公司去库存持续,晶圆代工厂稼动率预计Q2见底:由于个人PC/智能手机等消费电子终端从2022年Q3开始明 显减弱,旺季不旺,IC设计公司在去年Q3陆续开始砍单,由于国内需求下降更明显,同时公司部分产线进行了岁修, 所以导致稼动率降至92%,环比下降了5个百分点。Q4开始海外晶圆代工厂联电的稼动率也开始受到设计公司砍单影 响下降了10个百分点,中芯国际的产能利用率进一步下降至70%,预计晶圆代工厂稼动率随着下半年消费电子需求回 暖Q3开始回升。

高性能计算(HPC)和自动驾驶(ADAS)有望拉动新一轮半导体周期向上:2021年全球半导体市场规模达到5559亿 美元,按照下游占比来看,2022年占比分别为31%,30%和12%的智能手机、个人电脑市场和消费电子均出现大幅下 滑,电动车延续高增长趋势,我们认为AIGC为代表的大算力需求将大幅提升GPU的需求,同时电动车向更高阶的自 动驾驶演进,ADAS渗透率持续提升,大算力和汽车半导体有望成为新一轮半导体周期的核心驱动力。

长电科技:Chiplet封装工艺平台量产,聚焦2.5/3D封装

全球化产能优势明显:公司封测产能分布在中国、韩国和新加坡三地,其中国内长电先进聚焦bumping,Fan-out CSP晶圆级等先进封装,本部以BGA、PA封装,安徽的滁州和宿迁工厂则以传统封装为主,海外工厂包括位于韩国 和新加坡的原星科金朋工厂,封装工艺全球领先。 长电先进承载2.5D/3D封装工艺:2022年长电先进完成了XDFOITM 2.5D试验线的建设,已按计划进入稳定量产阶段, 同步实现国际客户4nm节点多芯片系统集成封装产品出货。在汽车电子领域,公司韩国工厂与下游企业合作研发了 用于新能源汽车大客户的芯片,并将用于该客户车载娱乐信息和ADAS辅助驾驶。

国内工厂受到消费电子需求下滑获利大幅衰退:由于消费电子市场需求疲软、订单下降、价格竞争激烈使得产能利用率降 低,长电先进营收及利润较上年相比分别下降20%和40%。以成熟工艺为主的滁州和宿迁工厂营收和利润同样出现大幅下 滑,营收分别下降21%和9%,净利润较上一年同比减少49%和50%。 海外工厂受益于高端封测营收占比实现逆势增长:公司新加波和韩国工厂(SCK)通过技术升级与改造,优化产线产品结 构,加大新产品导入量产力度,强化精益生产效率,使得收入和净利润快速上升,净利润同比大增98%,长电韩国JSCK 主要进行高阶SiP产品封装测试,与去年同期相比,系统级封装产品业务订单增长拉动营收成长25%。 2023年资本开支规划:2023年计划资本开支为65亿元,同比增长62.5%,持续投资chiplet先进封装工艺。

通富微电:收购AMD封测工厂,打造高性能CPU/GPU封测平台

收购AMD封测业务,打造高端封测平台:2016年4月29日,公司投资3.71亿美元,借力产业基金完成收购AMD苏州 及AMD槟城各85%股权,联手AMD打造国内高性能服务器CPU/GPU封测工艺平台。通过此次合作,包括两家合资 公司在内的通富微电集团将完全许可使用AMD的相关先进封测技术、专利。特别是苏州工厂,作为高端处理器芯片 封测基地,可以有效地填补国家在这一领域的空白,从而能够更好的支持国产CPU、GPU、网关服务器、基站处理 器、FPGA(现场可编程门阵列)等产品的研发和量产。

构建Chiplet封装解决方案,高性能计算技术加速产品量产:2021年8月19日,通富微电2.5D/3D生产线首台设备— —化学机械抛光设备(CMP)顺利搬入南通通富工厂,为通富微电进入2.5D/3D先进封装领域翻开了新的篇章。该先进 封装生产线建成后,公司将成为国内最先进的2.5D/3D先进封装研发及量产基地,实现国内在应用于HBM(高带宽内 存)高性能封装技术领域的突破。通富超威苏州、通富超威槟城凭借7nm、5nm、FCBGA、Chiplet等先进技术优 势,不断强化与AMD等行业领先企业的深度合作,巩固和扩大先进产品市占率,实现销售业绩稳步增长。

深科技:国内存储封测龙头,布局高端封测工艺

收购沛顿科技切入存储封测环节:2015年6月公司以1.1亿美元价格收购沛顿科技,沛顿科技是全球第一大独立内存制 造商美国金士顿科技公司于国内投资的外商独资企业,专门从事动态随机存储(DRAM)芯片封装和测试业务,通过 并购整合公司成功进入存储芯片封测领域。 联手大基金投资合肥沛顿扩充产能:2020年10月公司联手大基金二期通过非公开发行募集资金净额14.62 亿元,投建 合肥沛顿科技,总投资规模超过30亿元,公司持股比例为55.88%,2021年12月正式投产,2022年上半年已通过ISO 9001/14001/45001等多项体系认证,并通过现有客户封装产品大规模量产审核,计划2022年下半年进一步积极导入 新客户,预计满产后年产值可以达到28.63亿元。

持续投入先进封装工艺保持技术领先优势:公司积极布局高端封测工艺,规划建设凸块(Bumping)项目,净化间施 工和首线设备采购正同步进行。未来公司将以满足重点客户产能需求和加强先进封装技术研发为目标,聚焦倒装工艺 (Flip-chip)、POPt堆叠封装技术的研发、16层超薄芯片堆叠技术的优化,且是国内唯一通过Intel CPU架构存储认 证的企业,所有测试过的存储芯片产品可直接配套Intel服务器。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)